Реферат по теме Моделирование времени. Обеспечение параллельности в работе устройств ВС в системе VHDL

Реферат по теме Моделирование времени. Обеспечение параллельности в работе устройств ВС в системе VHDL




⚡⚡⚡ ПОДРОБНЕЕ ЖМИТЕ ЗДЕСЬ 👈🏻👈🏻👈🏻

































Реферат по теме: Моделирование времени Обеспечение параллельность в работе систем на базе VHDL
В последнее время с развитием информационных технологий, в частности с появлением высокоскоростных процессоров, возросла потребность в средствах обеспечения параллельности и одновременности выполнения операций.
Одним из основных принципов построения систем на основе VHDL является использование в них параллельных вычислительных модулей, которые могут выполнять одновременно несколько различных команд.
The article describes the development of the project, which is an example of a parallel design model. The main idea of the model is to use the parallel simulation of the system to prevent the time delay in the algorithm of one of the components. As the result, the total delay of the whole system will be reduced.
Keywords: parallel model, hardware, software, simulation, time delay.
Введение
Выполнил: студент гр. 141-А
Шишкин С.В. Москва 2011 г.
В данной работе описаны модели времени и процессов в системе моделирования времени VHDL, а также методы их обеспечения.
Моделирование времени

Введение
В данной работе рассматривается проблема обеспечения параллельности процессов в системе, построенной на основе VHDL, с целью моделирования времени в вычислительном устройстве.
Система представляет собой мультипроцессорную систему для параллельных вычислений. В данной системе используются параллельные вычисления, основанные на архитектуре виртуальных машин, то есть, в ней используется принцип разделения времени.
Введение.

Введение. Разработка сложных систем на основе микропроцессоров и микроконтроллеров часто приводит к необходимости использования параллельных вычислительных устройств. Параллельные вычисления позволяют существенно увеличить производительность системы. Параллельно могут выполняться операции по чтению и записи данных, арифметические и логические операции, операции управления и т.д.
Введение
В настоящее время многие процессы в вычислительной технике выполняются параллельно. Это позволяет существенно увеличить производительность и надежность ЭВМ. Однако, не смотря на все преимущества параллельных вычислений, пока еще не удалось создать средства для их реализации, которые были бы универсальными и легко применимыми в разных областях.
The paper presents the results of a study of the possibilities of using VHDL for modeling the time and parallelization of operations in the system. In the first part of the paper the authors describe the techniques of modeling the system and the methods of its parallelization. The second part of the article presents the design of the system, which has been developed to allow parallelization in the event of a conflict on the shared bus.
Моделирование времени в системе моделирования VHDL
В данной работе рассматриваются вопросы моделирования времени в системах моделирования. В частности, речь идет о параллельности и взаимодействии устройств. Также рассматриваются различные средства поддержки параллельности, такие как: параллельные вычисления, общая память, параллельный ввод/вывод.

ВВЕДЕНИЕ
1. Модель времени
1.1 Классификация моделей времени
1.2 Классификация функций модели времени
1.3 Модель времени в системе моделирования VHDL.
1.4 Взаимодействие модели и приложения
1.5 Описание модели.
2. Разработка модели времени на примере системы Windows
2.1 Цель разработки
2.2 Построение модели
2.3 Определение функциональных зависимостей
2.4 Описание модели
2.5 Описание приложения
2.6 Синтез модели
3. Разработка программы
3.1 Разработка интерфейса
3.2 Разработка алгоритма
Реферат На Тему Решение Уравнений С Параметрами
Реферат по теме Производные ценные бумаги
Реферат На Тему Иерсиниозы

Report Page