Проектування електронного паролю - Коммуникации, связь, цифровые приборы и радиоэлектроника курсовая работа

Проектування електронного паролю - Коммуникации, связь, цифровые приборы и радиоэлектроника курсовая работа



































Процес формування сигналу-коду та його перевірка. Ескізне проектування, електрична структурна схема, основні аспекти роботи системи. Розробка моделі на мові VHDL, генерація кодової послідовності, схеми мультиплексорів та реалізація приймача сигналу.


посмотреть текст работы


скачать работу можно здесь


полная информация о работе


весь список подобных работ


Нужна помощь с учёбой? Наши эксперты готовы помочь!
Нажимая на кнопку, вы соглашаетесь с
политикой обработки персональных данных

Студенты, аспиранты, молодые ученые, использующие базу знаний в своей учебе и работе, будут вам очень благодарны.
У даній курсовій роботі розглянуто проектування електронного паролю. У сучасні охоронні системи входять, як правило брелок, що носиться, - генератор, що випромінює особливий сигнал- код, і спеціальний приймач, що реагує лише на цей сигнал- код. ИК брелок- генератор і приймач до нього мають таке ж призначення, але сигнал- код системи формується відповідно до принципу, що значно ефективніше використовують час передачі й тим самим, що багаторазово збільшують її таємність.
У цій роботі розглянуто ту частину, де відбувається саме процес формування сигналу-коду, перевірка його з тим, що вже раніше було запрограмованою, потім він видає випромінюється, а спеціальний приймач його приймає на відстані.
Незалежно від характеру випромінювання, будь то радіохвиля, ультразвук або світло, особлива увага в обладнаннях автоматичного впізнання приділяють самому сигналу. Імовірність появи точно такого ж сигналу від стороннього джерела повинна бути дуже малою.
Для реалізації даного пристрою застосовуються майже виключно інтегральні елементи.
1. Ескізне проектування. Схема електрична структурна
Розглянемо з яких блоків повинна складатися наша система. Сигнал поступає з кварцового генератора на лічильник. З допомогою лічильника формується адрес даних, що надсилається на мультиплексор. З лічильника ще один сигнал іде на формування імпульсу. Потім сигнал з мультипдексора і з ФИ поступають на елемент з відкритим колектором. Якщо все вірно спрацювало, то вспалахне діод, і вступить в дію наше реле, що і відімкне наші двері від авто.
Кодова посилка звичайно має вигляд двійкової послідовності. Наприклад, 1011011110110111..., де одиниці відповідає наявність випромінювання, а нулю -пауза "чистого" ефіру або якесь інше випромінювання. Якщо число розрядів (знакомісць) у такому сигналі позначити латинською буквою n, то по різному розставляючи одиниці й нулі, ми зможемо одержати 2" різних їхніх комбінацій. Так при n=7 їх може бути 128, при n=15 - 32768, а при n=23 - 8388608. Серед безлічі можливих якусь одну послідовність вибирають як код, або, говорячи інакше, електронного пароля.
Розглянемо основні аспекти роботи нашої системи
У середині кожного знакомісця може бути сформований короткий (тривалістю близько 10 мкс) імпульс на виході елемента І. Але таке відбудеться лише в тому випадку, якщо даному знакомісцю буде відповідати сигнал 1 на виході комутатора. Цей імпульс перетвориться в ИК спалах такої ж тривалості.
Потрібне число- код формують, комутируючи Х- входи мультиплексору, тобто з'єднуючи i- тую стрілку із плюсовим провідником джерела харчування, якщо в i- тому розряді коду повинна бути 1 (вхід ХО стартовий імпульс) або з мінусовим, якщо потрібний 0. Так, наприклад, для генерації коду 111011100111001 стрілки 1,2,3,5,6,7, 10,11,12,15 треба з'єднати із плюсовим, а стрілки 4,8,9,13,14 - із загальним провідником джерела харчування. Оскільки п=15, то число різних сигналів, з яких кожної може бути закомутірован у якості кодового, становить 215=32768.
У кодовому випромінювачі можна використовувати практично будь-які ИК діоди, обмеження -лише габаритні.
Мал.2 Осцилограма коду 1011011110110111.
Правильно зібраний генератор налагодження не вимагає. Так, наприклад, коду 111011100111001 буде відповідати осциллограмма, зображена на мал. 2 ("зайвий" імпульс на початку пакету - стартовий).
Джерело харчування кодового генератора - 6- вольтовая батарея GB1 діаметром 10,3 і довжиною 16 мм (типорозмір джерел харчування закордонного виробництва, наприклад, батарей GP11A, Е11А). Придатна також літієва батарея 2ВІДБЛИСК-1, якщо в конструкції передбачити для неї відітнув відповідних розмірів.
Тепер, знаючи майже все про нашу модель, спробуємо розробити її модель на мові VHDL. За допомогою цієї мови ми можемо провірити на працездатність будь який прилад, модель, структуру і т.д. На мові VHDL проводять синтез дуже багатьох приладів, інтегральних схем, як в нашому випадку.
Спочатку представимо схему моделі, розроблену в пакеті Workbench, а також покажемо місця наших вхідних і вихідних портів, а також допоміжних сигналів.
Мал3. Схема передавача, розроблена в пакеті Workbench.
На цій схемі помітимо ті частини, де ми будемо знімати наші характеристики. Спочатку схеми у нас находяться сигнали reset і set, далі позначаємо виходи лічильника і закінчуємо все вихідним сигналом ind.
Представимо спочатку текст програми: в ньому самим простим методом описані всі входи і виходи наших елементів схеми, також були використані допоміжні сигнали для простоти.
dv4,dv5,dv6,dv7,dv8,dv9: out std_logic;
--x:std_logic_vector(15 downto 0):="101111111101111";
signal x:std_logic_vector(15 downto 0):="1111011100111001";
signal t: std_logic_vector(15 downto 0);
variable period_1: time:= 10000 ns;
dv9 <= transport '1' after period_1/32;
dv8 <= transport '1' after period_1/64;
dv7 <= transport '1' after period_1/128;
dv6 <= transport '1' after period_1/256;
dv5 <= transport '1' after period_1/512;
dv4 <= transport '1' after period_1/1024;
dv4 <= transport '0' after period_1/32;
dv5 <= transport '0' after period_1/64;
dv6 <= transport '0' after period_1/128;
dv7 <= transport '0' after period_1/256;
dv8 <= transport '0' after period_1/512;
dv9 <= transport '0' after period_1/1024;
ind<='0' when t="1111011100111001" else
Тепер представимо саме часову діаграму роботи нашого генератору:
Тепер представимо часову діаграму моделі розроблену в пакеті Wokbench, що показує правильність роботи нашої схеми. На ній чітко видно всі необхідні імпульси, що поступають з різних частин схеми.
Мал. 10. Часова діаграма в Workbench.
На схемі представлені елементи із стандарту КМОП серії К561. Це такі елементи як: логічні елементи ЛА7 DDD1(двохвходовий елемент 2І-Ні), ЛН2 DDD2 (одновходовий логічний елемент Ні), ИЕ16 DDD3 (двійково-десятковий лічильник), КП2 DDD4(здвоєний мультиплексом на 16 входів), а також діод АЛ147А VD2.
Принципова схема генератора, що формує подібним чином послідовність інфрачервоних спалахів, наведена нижче. Два елементи І-Ні, резистор і кварцовий резонатор утворюють генератор, що задає, працює на частоті 32 768 Гц.
Генерація кодової послідовності починається (коли джерело харчування включене)з формування короткого імпульсу на вході R лічильника, що встановлює його в нульовий стан.
Знакомісць - їх 16 - випливають у часі відповідно до їхньої нумерації від 1 до 15 по стрілках входів мікросхемах мультиплексорів -1,2, З... і т.д. (нульовому знакоместу завжди відповідає 1 - це стартовий імпульс пакета, входить у число кодообразующих).
Надалі з лічильника ИЕ16(DDD3.1) поступають сигнали, що заставляють працювати мультиплекс ори. Через входи, що задають код перший мультиплексом працює коли надходить 1, а другий - коли надходить 0.
Дві мікросхеми мультиплексорів, кожна з яких являє собою вісьмивходовий мультиплексор- демультиплексор, працюють як електронні комутатори. Їхній об'єднаний вихід виявляється з'єднаний з одним із входів ХО- Х7 - залежно від адреси, що надходить на адресні входи 1, 2, 4 і сигналу на вході S мультиплексорів.
Адреса й S- Сигнал формують лічильник. Неважко обчислити, що зміна адреси відбувається тут кожні 0,976 мс (25/ 32768 с). Це tзн - тривалість знакомісць в кодовій посилці.
Таким чином, загальна тривалість кодової посилки становить 0,976-15 = 14,6 мс.
Після того, як мультиплекс ори КП2(DDD4.1 і DDD4.2) закінчать свою роботу, сигнал поступає на логічний елемент. Для правильності роботи на логічний елемент повинно прийти дві одиниці. Якщо це відбулося, то вспалахне діод АЛ147А. Нам потрібно було вибрати правильний діод, щоб він підходив по напрузі споживання, часу роботи і т.д. Основні характеристики діоду АЛ147А.
Подачу живлення можна включити і другим способом, так як представлено нижче.
Вимикач SA2 можна виключити, а харчування генератора здійснювати за схемою, показаної на мал.6. Але тоді кнопку SА1 прийдеться натискати двічі, тому що перше натискання може видати невірну комбінацію. Без нього можна обійтися й у тому випадку, якщо джерелом харчування буде низьковольтна батарея або літієвий елемент, здатні забезпечити генератору тривалу роботу при постійно включених мікросхемах.
Наприклад, літієвий елемент напругою 3 В и електроємністю 0,1 А- Ч буде працювати близько року.
У випадку живлення генератора за схемою, наведеної вище, необхідно проконтролювати струм витоку конденсатора С6 - він повинен бути значно менше Іпотр, зазначеного в таблиці.
При збільшенні опору резистора, що обмежує струм в ИК діоді, ємність цього конденсатора може бути зменшена -більша "далекобійність" ИК випромінювача може виявитися просто непотрібною.
Представимо також схему реалізації приймача сигналу:
Мал.11 Схема реалізації електронного пароля.
Схема обладнання, що ухвалює кодований сигнал інфрачервоного випромінювача, показана на вище. Мікросхема нижче, що представляє собою фотопідсилювач, перетворить імпульси струму у фотодіоді BL1, порушувані ИК спалахами брелка- випромінювача, в імпульси напруги, придатні для прямого їхнього введення в цифровий аналізатор. На малюнку,а зображений графік імпульсної послідовності на виході фотопідсилювача, відповідний до коду 1011011110110111,який ми тут і нижче будемо розглядати в якості приклада.
У приймачі два формувачі. Один з них, виконаний на елементах DD1.1 і DD3.1, розширює кожний із вхідних імпульсів (нагадаємо, тривалість імпульсів ИК передавача - близько 10 мкс) до tф1=RЗС5=0,6...0,8 мс (мал. 6,б). А другий, зібраний на елементах DD1.2 і DD3.3, формує імпульс длительнос- тью tф2=R4С6=30...50 мс.
По 1 фронту цього імпульсу на виході елемента DD3.5 формується короткий імпульс (tr=R5C7=10 мкс) регістр, що зрушує, що встановлює, DD4DD5 і лічильник DD6 у нульовий стан.
Елементи DD1.3, DD1.4, резистор R7 і кварцовий резонатор ZQ1 утворюють генератор, що задає, працює на частоті 32 768 Гц (на такій же частоті, нагадаємо, працює генератор, що й задає, ИК випромінювача).
У регістрі, що зрушує, прийнятий сигнал (або перешкода) фіксується в такий спосіб. По фронту імпульсу першої ж ИК спалаху мікросхеми DD4-DD6 установлюються в нульовий стан (на їхніх виходах виникають нулі) і лічильник DD6 починає рахунок імпульсів із частотою 32 768 Гц.
Приблизно через 0,5 мс (tзн/2) нуль на виході 24 лічильника DD6 буде заміщений одиницею. Наступне зрушення числа відбудеться через tзн=0,976 мс - "крок", який збережеться надалі.
Система зробить лише 16 порозрядних зрушень (імпульси, що зрушують, генеріруемие лічильником DD6) - з появою сигналу високого рівня лічильника DD6 і відповідно низького на вході DD2.2, лічильник самозаблокується й збереже цей стан до чергового старту системи. Таким чином, прийнята послідовність ИК спалахів перетвориться в число, що зберігається в регістрі DD4DD5. Залишається з'ясувати, чи є воно кодовим.
Здійснюється це діодно- уторованим дешифратором Д1, схему якого (для того ж коду 111011100111001) ілюструє мал. 7. Ідея дешифракції проста. Усі виходи регістру, на яких відповідно до кодової комбінації повинна бути 1, підключають до входів діодно-резисторного логічного елемента И (VD1, VD4-VD6, VD9-VD11, VD13-VD15, R1), а виходи, на яких повинен бути 0, - до входів діодно-резисторного логічного елемента АБО (VD2, VD3, VD7, VD8, VD12, R2). Якщо в регістрі зафіксоване число- код, то на виході елемента И дешифратора встановиться напруга високого рівня, а на виході елемента АБО - низького.
Мал. 14. Схема діодно-резисторного дешифратора.
І тільки в цьому випадку на виході ИК приймача виникне сигнал 1. Це "одиничне" його стан протримається доти, поки не буде натиснута кнопка SB1 "Обнуління" (паралельно їй може бути включено кілька кнопок такого ж призначення) або по каналу не пройде який-небудь сторонній сигнал.
В результаті виконаної роботи було спроектовано електричний пристрій, призначений для передачі любого коду(сигналу) на приймач. В основному цей пристрій спроектований на інтегральних елементах.
Спроектований пристрій можна використовувати в широкому колі задач.
Але найчастіше його застосовують для безпеки власного автомобіля.
Г. Хоуп. Проектирование цифровых вычислительных устройств на интегральных схемах. - М.: Мир, 1984. - 400 с.
Шило В.Л. Популярные цифровые микросхемы. - М.: радио и связь, 1988. - 349 с.
Электротехника и электроника в экспериментах и упражнениях. Практикум на Electronics Workbench том 2. Электроника М. 2000г.
Схемотехніка цифрових пристроїв. 2005 р.
Математичний опис цифрових фільтрів, їх структурна реалізація, етапи розроблення. Візуалізація вхідного сигналу, методика та напрямки аналізу його частотного складу. Розробка специфікації та синтез цифрового фільтра. Фільтрація вхідного сигналу. курсовая работа [1,2 M], добавлен 01.06.2013
Проектування каналу збору аналогових даних реальної мікропроцесорної системи, який забезпечує перетворення аналогового сигналу датчика - джерела повідомлень в цифровий код. В такому каналі здійснюється підсилення, фільтрація і нормування сигналу. курсовая работа [305,8 K], добавлен 18.09.2010
Загальна характеристика принципу роботи електронного замка. Написання коду програми, який забезпечить працездатність пристрою й подальшу його експлуатацію. Розробка принципової схеми і друкованої плати, системи керування створеним електронним замком. дипломная работа [1,1 M], добавлен 03.05.2015
Розрахунок смуги пропускання приймача та спектральної щільності потужності внутрішніх шумів. Розрахунок чутливості приймача та бази сигналу. Принципова електрична схема підсилювача проміжної частоти радіоприймального пристрою, параметри мікросхеми. курсовая работа [476,2 K], добавлен 09.11.2010
Розробка структури цифрового лінійного тракту і структурної схеми каналу зв'язку. Теоретичний аналіз алгоритму роботи модулятора. Опис роботи ідеального приймача. Ймовірність помилкового прийому комбінації коду Хемінга та безнадлишкового коду МТК-2. курсовая работа [444,5 K], добавлен 09.01.2014
Загальна характеристика цифрового телебачення. Структурна схема зовнішнього кодера. Облік часової надмірності. Внутрішнє пересортування і формування модуляційних символів. Принцип роботи блоку обробки цифрового сигналу. Формування модуляційних символів. курсовая работа [3,5 M], добавлен 07.05.2012
Призначення бортової системи формування курсу, її технічні дані і режим роботи. Структурна схема каналу формування приведеного курсу. Аналіз похибки трансформаторної синхронної передачі осі гіроскопа. Визначення методу виміру сигналу, надійності пристрою. дипломная работа [697,7 K], добавлен 21.04.2011
Работы в архивах красиво оформлены согласно требованиям ВУЗов и содержат рисунки, диаграммы, формулы и т.д. PPT, PPTX и PDF-файлы представлены только в архивах. Рекомендуем скачать работу .

© 2000 — 2021



Проектування електронного паролю курсовая работа. Коммуникации, связь, цифровые приборы и радиоэлектроника.
Краткое Изложение На Тему Соціальні Програми Уряду
Контрольная работа по теме Дворцовые перевороты. Роль гвардии. Расширение привилегий дворян
Реферат по теме О природе человеческого мышления
Реферат: Technology On Society Essay Research Paper The
Дипломная работа по теме Защита прав пассажиров
Курсовая работа по теме Организация экскурсии по Асекеевскому району
Реферат: Алиментные обязательства 5
Доклад по теме Жорж Кювье
Сочинение Я Учусь В Детском Морском Центре
Реферат: Camera Techniques Essay Research Paper To optimize
Темы Рефератов По Физре
Реферат: Базисные элементы культуры
Василий Теркин Сочинение Про Войну
Сочинение Про Летчика
Реферат: Религия и её роль в жизни государства
Реферат: Казахстан в период монгольского нашествия
Мини Сочинение На Тему Проблемы Современного Образования
Курсовая Работа На Тему Реклама И Ее Использование При Вхождении В Отрасль Промышленности России
Дипломная работа по теме Разработка Киембаевского месторождения хризотил-асбеста
Сочинение Проблема Воспитания В Комедии Недоросль Кратко
Автоматический фазометр - Коммуникации, связь, цифровые приборы и радиоэлектроника курсовая работа
Румыния в Первой мировой войне - История и исторические личности дипломная работа
Договор лизинга - Государство и право курсовая работа


Report Page