Проектирование управляющего автомата - Коммуникации, связь, цифровые приборы и радиоэлектроника курсовая работа

Проектирование управляющего автомата - Коммуникации, связь, цифровые приборы и радиоэлектроника курсовая работа



































Управляющий цифрового автомат типа Мура. Абстрактный и структурный синтез автомата, построена функциональная схема. Функции выходов и возбуждения элементов памяти. Моделирование на ПК с использованием симулятора ModelSim. Описание автомата на языке VHD.


посмотреть текст работы


скачать работу можно здесь


полная информация о работе


весь список подобных работ


Нужна помощь с учёбой? Наши эксперты готовы помочь!
Нажимая на кнопку, вы соглашаетесь с
политикой обработки персональных данных

Студенты, аспиранты, молодые ученые, использующие базу знаний в своей учебе и работе, будут вам очень благодарны.
8. Моделирование на ПК с использованием симулятора ModelSim
Спроектировать управляющий цифровой автомат типа Мура, функционирующий согласно заданной ГСА. ГСА строится соединением фрагментов (рис. 1) в заданной последовательности (2, 1, 3, 4). Каждая операторная вершина определяет некоторый выходной сигнал. Количество условных вершин в ГСА определяет входной алфавит автомата. Тип используемых триггеров JK.
Курсовая работа заключается в решении комплексной задачи синтеза дискретного устройства с памятью по заданным условиям работы на заданной элементной базе. Решение должно быть доведено до функциональной схемы устройства (автомата).
Термин автомат, как правило, используется в двух аспектах. С одной стороны, автомат -- устройство, выполняющее некоторые функции без непосредственного участия человека. В этом смысле мы говорим, что ЭВМ автомат, так как после загрузки программы и исходных данных ЭВМ решает заданную задачу без участия человека. С другой стороны, термин «автомат» как математическое понятие обозначает математическую модель реальных технических автоматов. В этом аспекте автомат представляется как «черный ящик», имеющий конечное число входов и выходов и некоторое множество внутренних состояний Q={q 1 (t), q 2 (t),..., q n (t)}, в которые он под воздействием входных сигналов переходит скачкообразно, т. е. практически мгновенно, минуя промежуточное состояние. Конечно, это условие не выполняется в реальности, так как любой переходный процесс длится конечное время.
Цифровой автомат - устройство, предназначенное для преобразования цифровой информации. Входные сигналы в цифровых автоматах представляются в виде конечного множества мгновенных сигналов. Теоретически это означает, что входные сигналы не имеют длительности, хотя практически это не так. Такое допущение упрощает рассмотрение процессов, происходящих в автоматах, так как все события (состояния) должны относиться к фиксированному моменту времени t. Условно также принимается, что число выходных сигналов y(t) конечно и они возникают в результате действия входных сигналов. При этом следует учитывать, что одновременно с появлением выходного сигнала происходит скачкообразный переход автомата из состояния q i (t) в состояние q j (t).
Понятие состояния автомата используется для описания систем, выходы которых зависят не только от входных сигналов в данный момент времени, но и от некоторой предыстории, т. е. сигналов, которые поступили на входы системы ранее. Состояние автомата соответствует некоторой памяти о прошлом, позволяя устранить время как явную переменную и выразить выходные сигналы как функцию состояний и входных сигналов.
Время для цифрового автомата имеет также важное значение. Для решения задач анализа и синтеза цифровых автоматов обычно вводится автоматное время. Функционирование автомата рассматривается через дискретные интервалы времени конечной продолжительности (интервал дискретности).
Общая теория автоматов разбивается на две большие части -- абстрактную теорию автоматов и структурную теорию автоматов. Различие между ними заключается в том, что в абстрактной теории мы отвлекаемся от структуры как самого автомата, так и его входных и выходных сигналов. Не интересуясь способом построения автомата, абстрактная теория изучает лишь те переходы, которые претерпевает автомат под воздействием входных сигналов, и те выходные сигналы, которые он при этом выдает. Абстрактная теория автоматов близка теории алгоритмов, является ее дальнейшей детализацией.
В противоположность абстрактной теории, в структурной теории автоматов рассматриваются прежде всего структуры как самого автомата, так и его входных и выходных сигналов. В структурной теории изучаются способы построения автоматов из элементарных автоматов, способы кодирования входных и выходных сигналов элементарными сигналами и т.п.
Одной из разновидностей языка, позволяющей описывать логические схемы алгоритмов, является язык граф-схем алгоритмов (ГСА).
Граф-схема алгоритма -- ориентированный связный граф, содержащий одну начальную вершину, произвольное число условных и операторных вершин и одну конечную вершину.
Конечная, операторная и условная вершины имеют по одному входу, начальная вершина входов не имеет. Начальная и операторная вершины имеют по одному выходу, конечная вершина выходов не имеет, условная вершина имеет два выхода, помеченных символами 1 и 0. Граф-схема алгоритма удовлетворяет следующим условиям:
1) входы и выходы вершин соединяются друг с другом с помощью дуг, направленных всегда от выхода к входу;
2) каждый выход соединен только с одним входом;
3) любой вход соединяется, по крайней мере, с одним выходом;
4) любая вершина графа лежит, по крайней мере, на одном пути из начальной к конечной вершине;
5) в каждой условной вершине записывается один из элементов множества логических условий A = { A 1 ,A 2 , ,..., A k }, разрешается в различных условных вершинах запись одинаковых элементов множества A;
6) в каждой операторной вершине записывается один из элементов множества операторов V={v 1 ,v 2 ,..., v N }., разрешается в различных операторных вершинах запись одинаковых элементов множества V.
Язык ГСА используется очень часто при описании алгоритмов функционирования как самого цифрового автомата, так и программ, выполняющих то или иное действие.
Чтобы построить схему управляющего автомата Мура, нужно задать микропрограмму работы операционного устройства. Микропрограмма операционного блока имеет вид, представленный на рис.2. Микропрограмма выполняется при начальном условии Н = 1. Блок производит выработку управляющих функциональных сигналов в определённой последовательности, зависящей от значений сигналов A 0 -A 3.
Структурный синтез предполагает физическую реализацию схемы автомата из выбранного набора логических и запоминающих элементов, которая функционировала бы в соответствии с заданными таблицами переходов и выходов исходного абстрактного автомата.
Каждой микрокоманде, отдельно представленной на графе, ставится в соответствие отдельное состояние автомата. Состояния автомата отмечаются управляющими функциональными сигналами соответствующих микрокоманд.
Условия перехода от микрокоманды к микрокоманде представляются в виде конъюнкции входных сигналов, влияющих на переход. Каждая конъюнкция выписывается так, чтобы набор значений входных переменных, обращающих конъюнкцию в 1, соответствовал условию перехода. При безусловном переходе конъюнкция заменяется на константу 1.
Для построения автомата используем синхронные RS -триггеры, имеющие следующую таблицу переходов:
Определим количество элементов памяти (триггеров) структурного автомата:
2 R - количество различных внутренних состояний структурного автомата
М - мощность алфавита внутренних состояний абстрактного автомата
Для определения функций возбуждения триггеров составим кодированную таблицу переходов и выходов (табл. 4).
Таблица 4. Кодированная таблица переходов и выходов.
Булевы функции описания выходов схемы и входов триггеров:
-------------------------------------------------------------------
-------------------------------------------------------------------
-------------------------------------------------------------------
if (CLK'event) and (CLK = '0') then
if (S = '1') and (R = '0') then Q <= '1' after Delay; end if;
if (S = '0') and (R = '1') then Q <= '0' after Delay; end if;
-------------------------------------------------------------------
-------------------------------------------------------------------
if (X0 = '0') and (X1 = '0') and (X2 = '0') and (X3 = '0') then
va <= "1000000000000000" after Delay; end if;
if (X0 = '1') and (X1 = '0') and (X2 = '0') and (X3 = '0') then
va <= "0100000000000000" after Delay; end if;
if (X0 = '0') and (X1 = '1') and (X2 = '0') and (X3 = '0') then
va <= "0010000000000000" after Delay; end if;
if (X0 = '1') and (X1 = '1') and (X2 = '0') and (X3 = '0') then
va <= "0001000000000000" after Delay; end if;
if (X0 = '0') and (X1 = '0') and (X2 = '1') and (X3 = '0') then
va <= "0000100000000000" after Delay; end if;
if (X0 = '1') and (X1 = '0') and (X2 = '1') and (X3 = '0') then
va <= "0000010000000000" after Delay; end if;
if (X0 = '0') and (X1 = '1') and (X2 = '1') and (X3 = '0') then
va <= "0000001000000000" after Delay; end if;
if (X0 = '1') and (X1 = '1') and (X2 = '1') and (X3 = '0') then
va <= "0000000100000000" after Delay; end if;
if (X0 = '0') and (X1 = '0') and (X2 = '0') and (X3 = '1') then
va <= "0000000010000000" after Delay; end if;
if (X0 = '1') and (X1 = '0') and (X2 = '0') and (X3 = '1') then
va <= "0000000001000000" after Delay; end if;
if (X0 = '0') and (X1 = '1') and (X2 = '0') and (X3 = '1') then
va <= "0000000000100000" after Delay; end if;
if (X0 = '1') and (X1 = '1') and (X2 = '0') and (X3 = '1') then
va <= "0000000000010000" after Delay; end if;
if (X0 = '0') and (X1 = '0') and (X2 = '1') and (X3 = '1') then
va <= "0000000000001000" after Delay; end if;
if (X0 = '1') and (X1 = '0') and (X2 = '1') and (X3 = '1') then
va <= "0000000000000100" after Delay; end if;
if (X0 = '0') and (X1 = '1') and (X2 = '1') and (X3 = '1') then
va <= "0000000000000010" after Delay; end if;
if (X0 = '1') and (X1 = '1') and (X2 = '1') and (X3 = '1') then
va <= "0000000000000001" after Delay; end if;
-------------------------------------------------------------------
-------------------------------------------------------------------
if (x1 = '0') or (x2 = '0') then y <= '0' after Delay2;
-------------------------------------------------------------------
-------------------------------------------------------------------
if (x1 = '1') or (x2 = '1') then y <= '1' after Delay1;
-------------------------------------------------------------------
port (x1, x2, x3, x4, x5, x6, x7, x8 : in Bit;
-------------------------------------------------------------------
process(x1, x2, x3, x4, x5, x6, x7, x8)
if (x1 = '1') or (x2 = '1') or (x3 = '1') or (x4 = '1') or (x5 = '1') or (x6 = '1') or (x7 = '1') or (x8 = '1') then y <= '1' after Delay1;
-------------------------------------------------------------------
-------------------------------------------------------------------
-------------------------------------------------------------------
port (CLK, h, z0, z1, z2, z3 : in Bit;
v1, v2, v3, v4, v5, v6, v7, v8, v9, v10 : out Bit);
-------------------------------------------------------------------
architecture structure of Circuit is
port (x1, x2, x3, x4, x5, x6, x7, x8 : in Bit;
signal R0, S0, R1, S1, R2, S2, R3, S3 : Bit;
signal nz0a1,a7z2,a9z3,a0H,a4z1,a6nz2,a6z2,a4nz1,a7z2a9z3: Bit;
DD8_1 : RS port map (R0 , S0 , CLK, Q0);
DD8_2 : RS port map (R1 , S1 , CLK, Q1);
DD9_1: RS port map (R2 , S2 , CLK, Q2);
DD9_2: RS port map (R3 , S3 , CLK, Q3);
DD5_1 : and2 port map (nz0, va(1), nz0a1);
DD5_2 : and2 port map (va(7), z2, a7z2);
DD5_3 : and2 port map (va(9), z3, a9z3);
DD5_4 : and2 port map (va(0), H, a0H);
DD6_1 : and2 port map (va(4), z1, a4z1);
DD6_2 : and2 port map (va(6), nz2, a6nz2);
DD6_3 : and2 port map (va(4), nz1, a4nz1);
DD6_4 : and2 port map (va(6), z2, a6z2);
DD7_1 : or2 port map (a7z2, a9z3, a7z2a9z3);
DD7_2 : or2 port map (va(2), va(3), S2);
DD7_3 : or2 port map (a6z2, a7z2, R2);
DD1 : or8 port map (nz0a1, va(3), va(5), a7z2, a9z3, '0', '0', '0', R0);
DD2 : or8 port map (a0H,a4z1,a6nz2,va(8),'0', '0', '0', '0', S0);
DD3 : or8 port map (va(2), va(3), a6z2, a7z2, va(10), '0', '0', '0', R1);
DD4 : or8 port map (a4nz1, a9z3, va(1), va(5), '0', '0', '0', '0', S1);
DD10 : DC port map (Q0, Q1, Q2, Q3, va);
-------------------------------------------------------------------
-------------------------------------------------------------------
architecture Schema of Test_Bench is
port (CLK, h, z0, z1, z2, z3 : in Bit;
v1, v2, v3, v4, v5, v6, v7, v8, v9, v10 : out Bit);
signal CLK, h, z0, z1, z2, z3 : Bit;
signal v1, v2, v3, v4, v5, v6, v7, v8, v9, v10 : Bit;
Avtomat : Circuit port map(CLK, h, z0, z1, z2, z3, v1, v2, v3, v4, v5, v6, v7, v8, v9, v10);
-------------------------------------------------------------------
8. Моделирование на ПК с использованием симулятора ModelSim
В данной курсовой работе мы спроектировали управляющий цифровой автомат, функционирующий согласно заданной ГСА. В качестве элементной базы использовались интегральные микросхемы пяти различных типов. Был выполнен абстрактный и структурный синтез заданного автомата, получены функции выходов и возбуждения элементов памяти, а также построена функциональная схема. Также был произведен выбор элементов для физического синтеза схемы, составлено описание автомата на языке VHDL и выполнено моделирование на ПК с использованием симулятора ModelSim.
Функциональная схема и механизм работы цифрового устройства обработки данных. Синтез управляющего автомата, выбор типа триггера, описание управляющего автомата и счётчиков на языке Verilog. Процесс тестирования и моделирования управляющего автомата. курсовая работа [3,2 M], добавлен 05.12.2012
Выполнение синтеза цифрового автомата Мура, осуществляющего отображение информации, приведение алфавитного отображения к автоматному. Построение формализованного описания автомата, минимизация числа внутренних состояний. Функциональная схема автомата. курсовая работа [2,8 M], добавлен 04.02.2013
Построение графа синтезируемого автомата. Определение количества элементов памяти. Составление таблицы переходов, выходов и возбуждения конечного автомата. Переход от исходного автомата Мили к эквивалентному автомату Мура. Алгоритмы вычисления функций. курсовая работа [714,7 K], добавлен 21.05.2013
Управляющий автомат и его связь с операционным автоматом. Разработка алгоритма работы управляющего автомата. Построение кодированной ПТП, синтез функций возбуждения и выходов. Реализация управляющего автомата с жесткой логикой на заданной элементной базе. курсовая работа [57,9 K], добавлен 29.12.2011
Алгоритм работы автомата Мили в табличном виде. Графический способ задания автомата. Синтез автомата Мили на Т-триггерах. Кодирование состояний автомата. Таблицы кодирования входных и выходных сигналов. Таблица переходов и выходов абстрактного автомата. курсовая работа [24,7 K], добавлен 01.04.2010
Проектирование цифровых автоматов Мили и Мура с памятью в булевом базисе по заданной ГСА. Составление частично структурированной таблицы переходов-выходов. Построение функций выходов, логической схемы автомата. Особенности его экспериментальной проверки. курсовая работа [628,7 K], добавлен 14.07.2012
Принципы организации управляющих автоматов. Разработка и проектирование автомата с жесткой и программируемой логикой. Разработка таблицы прошивки ПЗУ для УА с естественной адресацией микрокоманд. Структурный и абстрактный синтез управляющего автомата. курсовая работа [508,5 K], добавлен 16.03.2011
Работы в архивах красиво оформлены согласно требованиям ВУЗов и содержат рисунки, диаграммы, формулы и т.д. PPT, PPTX и PDF-файлы представлены только в архивах. Рекомендуем скачать работу .

© 2000 — 2021



Проектирование управляющего автомата курсовая работа. Коммуникации, связь, цифровые приборы и радиоэлектроника.
Реферат: Рождение Вселенной
План Сочинения Как Я Провел Каникулы
Курсовая работа по теме Производство AlF3
Реферат: Предпринимательство 9
Курсовая Изготовление Игрушек В Технике Оригами
Дневник По Практики Бухгалтера По Дням
Какие Жизненные Ценности Важны Для Человека Сочинение
Курсовая работа по теме Древнерусская культура в «Повести временных лет»
Курсовая работа по теме Проектирование цеха по производству 'Сок натуральный с мякотью моркови' и 'Икра луковая'
Дипломная работа: Южно-Курильская территориальная проблема
История Возникновения Латинского Языка Реферат
Курсовая работа по теме Технико-экономическое обоснование выбора фундамента мелкого заложения
Дипломная работа: Налог на имущество
Страхование Рисков Реферат
Курсовая работа по теме Анализ и оценка основных показателей финансово-хозяйственной деятельности предприятия
Реферат: по дисциплине «Культурология» На тему «Современные трактовки смерти»
Доклад: Сonditio sine qua non
Доклад: Павел Конюскевич
Сочинение На Тему Жизнь Достойна Восхищения
Реферат: Бизнес-план производства мороженой горбуши
Створення планово-висотної основи стереофотограметричного методу зйомки на площі трапеції - Геология, гидрология и геодезия курсовая работа
Интеллектуальная собственность и авторское право - Государство и право реферат
Тактика и оружие - Военное дело и гражданская оборона презентация


Report Page